虫虫首页|资源下载|资源专辑|精品软件
登录|注册

Nios ii

  • FPGA Nios ii 快速入门教程 适用于初学者

    FPGA Nios ii 快速入门教程 适用于初学者 简单易懂 方便

    标签: FPGA nios ii 快速入门

    上传时间: 2013-08-15

    上传用户:wfeel

  • 高速FPGA(Nios ii)系统设计和实现

    高速FPGA(Nios ii)系统设计和实现

    标签: FPGA NIOS 系统设计

    上传时间: 2013-08-24

    上传用户:zhyfjj

  • Nios ii 入门手册中文版

    Nios ii 入门手册中文版 一、建立quartus ii工程 首先,双击quartus ii 9.1图标打开软件,界面如下图1.1所示 1.1 新建工程 (1) 点击file –>New  Project  Wizard 出现图1.2所示的对话框。 (2) 点击Next。如图1.3所示:第一行是工程的路径,二、三行为实体名。填好后点击Next。 (3)此处可选择加入已设计好的文件到工程,点击Next。 (4)选择设计器件如图1.5所示。接着点击Next (5)接着点击Next。无需改动,点击finish,显示如下图所示。 (6)此时,工程已经建立完成,接下来需要建立一个原理图输入文件,点击file –>New ->Block  Diagram/Schematic  File  后如图所示。

    标签: nios ii 入门手册

    上传时间: 2014-12-25

    上传用户:cx111111

  • 基于Nios ii 的SD卡读写控制设计

    为了实现对嵌入式系统中大量数据存储的需求,提出了一种基于Nios ii的SD卡存储系统设计方案,并完成系统的软硬件设计。该存储系统使用SPI模式对SD卡进行读写访问,SPI时序由Nios ii的GPIO模拟产生。将本设计读取的SD卡的数据与WinHex软件读取的SD卡的数据进行比较表明两者结果一致,达到了设计要求。

    标签: NIOS II SD卡读写 控制设计

    上传时间: 2013-10-10

    上传用户:ve3344

  • Nios ii学习笔记

    关于Nios ii 的学习心得。

    标签: NIOS

    上传时间: 2014-01-03

    上传用户:1421706030

  • 基于Nios ii的双网传真机系统的研究与开发

    基于Nios-II设计和实现了支持PSTN网络、Internet网络的双网传真机系统,利用FPGA实现了传真机系统的多个电路模块,包括A/D采样控制逻辑、二值化图像处理模块、MH编码模块、MH译码模块和CIS扫描、TPH打印、电机控制模块。基于μC/OS-II、Niche TCP/IP实现了T30协议通信、非实时网络传真通信、Tiff文件创建、Tiff文件解析模块。使用Altera Cyclone EP1C20开发板实现和验证了整机系统,经过软硬件联调,达到了系统设计指标和功能。

    标签: Nios 双网传真机

    上传时间: 2013-11-13

    上传用户:xwd2010

  • 基于VHDL的FPGA和Nios ii实例精炼(刘福奇)

      基于VHDL的FPGA和Nios ii 实例精炼【作者:刘福奇;出版社:北京航空航天大学出版社】(本书优酷视频地址:http://www.youku.com/playlist_show/id_5882081.html)   内容简介:本书分为4个部分:Quartus Ⅱ软件的基本操作、VHDL语法介绍、FPGA设计实例和Nios Ⅱ设计实例;总结了编者几年来的FPGA设计经验,力求给初学者或是想接触这方面知识的读者提供一种快速入门的方法;适合电子相关专业的大学生、FPGA的初学者以及对FPGA有兴趣的电子工程师。初学者可以按照步骤学习。本书中提及到时间计算问题,不光提出有时间戳的方法, 还介绍了一种通过读取定时器的寄存器来计算时间的方法。其实,有人认为,本书最好的部分是:DMA的实现说明(本书从3个方面讲述了DMA的使用)。现在学习Verilog HDL的人或许比较多,但是用VHDL的人可以学习下,这本书还是很不错的。

    标签: VHDL FPGA Nios

    上传时间: 2014-07-09

    上传用户:米米阳123

  • Nios ii软件构建工具入门

    Nios ii软件构建工具入门 The Nios® II Software Build Tools (SBT) allows you to construct a wide variety of complex embedded software systems using a command-line interface. From this interface, you can execute Software Built Tools command utilities, and use scripts other tools) to combine the command utilities in many useful ways. This chapter introduces you to project creation with the SBT at the command line This chapter includes the following sections: ■ “Advantages of Command-Line Software Development” ■ “Outline of the Nios ii SBT Command-Line Interface” ■ “Getting Started in the SBT Command Line” ■ “Software Build Tools Scripting Basics” on page 3–8

    标签: Nios 软件

    上传时间: 2013-11-15

    上传用户:nanxia

  • 使用Nios ii软件构建工具

     使用Nios ii软件构建工具 This chapter describes the Nios® II Software Build Tools (SBT), a set of utilities and scripts that creates and builds embedded C/C++ application projects, user library projects, and board support packages (BSPs). The Nios ii SBT supports a repeatable, scriptable, and archivable process for creating your software product. You can invoke the Nios ii SBT through either of the following user interfaces: ■ The Eclipse™ GUI ■ The Nios ii Command Shell The purpose of this chapter is to make you familiar with the internal functionality of the Nios ii SBT, independent of the user interface employed.

    标签: Nios 软件

    上传时间: 2013-10-11

    上传用户:china97wan

  • 远程配置Nios ii处理器应用笔记

         通过以太网远程配置Nios ii 处理器 应用笔记 Firmware in embedded hardware systems is frequently updated over the Ethernet. For embedded systems that comprise a discrete microprocessor and the devices it controls, the firmware is the software image run by the microprocessor. When the embedded system includes an FPGA, firmware updates include updates of the hardware image on the FPGA. If the FPGA includes a Nios® II soft processor, you can upgrade both the Nios ii processor—as part of the FPGA image—and the software that the Nios ii processor runs, in a single remote configuration session.

    标签: Nios 远程 处理器 应用笔记

    上传时间: 2013-11-22

    上传用户:chaisz